Routing Congestion in VLSI Circuits

Routing Congestion in VLSI Circuits
Title Routing Congestion in VLSI Circuits PDF eBook
Author Prashant Saxena
Publisher Springer Science & Business Media
Pages 254
Release 2007-04-27
Genre Technology & Engineering
ISBN 0387485503

Download Routing Congestion in VLSI Circuits Book in PDF, Epub and Kindle

This volume provides a complete understanding of the fundamental causes of routing congestion in present-day and next-generation VLSI circuits, offers techniques for estimating and relieving congestion, and provides a critical analysis of the accuracy and effectiveness of these techniques. The book includes metrics and optimization techniques for routing congestion at various stages of the VLSI design flow. The subjects covered include an explanation of why the problem of congestion is important and how it will trend, plus definitions of metrics that are appropriate for measuring congestion, and descriptions of techniques for estimating and optimizing routing congestion issues in cell-/library-based VLSI circuits.

Routing Congestion In Vlsi Circuits: Estimation And Optimization

Routing Congestion In Vlsi Circuits: Estimation And Optimization
Title Routing Congestion In Vlsi Circuits: Estimation And Optimization PDF eBook
Author Saxena
Publisher
Pages 264
Release 2009-09-01
Genre
ISBN 9788184893885

Download Routing Congestion In Vlsi Circuits: Estimation And Optimization Book in PDF, Epub and Kindle

Routing Congestion in VLSI Circuits

Routing Congestion in VLSI Circuits
Title Routing Congestion in VLSI Circuits PDF eBook
Author Prashant Saxena
Publisher Springer
Pages 250
Release 2008-11-01
Genre Technology & Engineering
ISBN 9780387510613

Download Routing Congestion in VLSI Circuits Book in PDF, Epub and Kindle

This volume provides a complete understanding of the fundamental causes of routing congestion in present-day and next-generation VLSI circuits, offers techniques for estimating and relieving congestion, and provides a critical analysis of the accuracy and effectiveness of these techniques. The book includes metrics and optimization techniques for routing congestion at various stages of the VLSI design flow. The subjects covered include an explanation of why the problem of congestion is important and how it will trend, plus definitions of metrics that are appropriate for measuring congestion, and descriptions of techniques for estimating and optimizing routing congestion issues in cell-/library-based VLSI circuits.

Routing Congestion Analysis and Reduction in Deep Sub-micron VLSI Design

Routing Congestion Analysis and Reduction in Deep Sub-micron VLSI Design
Title Routing Congestion Analysis and Reduction in Deep Sub-micron VLSI Design PDF eBook
Author Zion Cien Shen
Publisher
Pages 264
Release 2004
Genre
ISBN

Download Routing Congestion Analysis and Reduction in Deep Sub-micron VLSI Design Book in PDF, Epub and Kindle

Congestion is one of the main optimization objectives in global routing; however, the optimization performance is constrained because the cells are already fixed at this stage. Therefore, a designer can save substantial time and resources by detecting and reducing congested regions during the planning stages. An efficient yet accurate congestion estimation model is crucial to be included in the inner loop of floorplanning and placement design. In this dissertation, we mainly focus on routing congestion modeling and reduction during floorplanning and placement.

Rethinking Global Routing for Modern VLSI Design

Rethinking Global Routing for Modern VLSI Design
Title Rethinking Global Routing for Modern VLSI Design PDF eBook
Author
Publisher
Pages 0
Release 2012
Genre
ISBN

Download Rethinking Global Routing for Modern VLSI Design Book in PDF, Epub and Kindle

RETHINKING GLOBAL ROUTING FOR MODERN VLSI DESIGN: CONGESTION REDUCTION AND MULTI-OBJECTIVE OPTIMIZATION Hamid Shojaei Under the supervision of Professor Azadeh Davoodi At the University of Wisconsin-Madison The high volume and complexity of cells and interconnect structures are causing serious challenges to routability in modern VLSI design. Several new factors contribute to routing congestion including significantly-different wire size and spacing among the metal layers, sizes of inter-layer vias, various forms of routing blockages, local congestion due to pin density and wiring inside a global-cell, and virtual pins located at the higher metal layers. In addition, interconnects now play a significant role in impacting the performance metrics of a design including power, speed and area. Global routing, as the first stage in which the interconnects are planned, is now of significant importance in determining the performance metrics and the routability of the design. However, the standard model of global routing considers minimization of wirelength with a simplified model of routing resources which ignores these objectives and complicating factors. To address the above challenges, this dissertation has three contributions in rethinking global routing for modern VLSI design. First, we present a framework for congestion analysis for quick prediction of the locations of highly-utilized routing regions. The fast framework is suitable for integration in the design flow, for example as an integration within a routability-driven placement procedure. Second, we offer two contributions in order to estimate and manage the congestion caused by local nets which are ignored in a standard model of global routing. It allows optimizing congestion directly within global routing by treating global and detailed routing in a more holistic manner. In addition, many of the above-mentioned factors contributing to congestion are accounted for in our congestion analysis and optimization framework. Finally, we present a procedure for multi-objective global routing which is able to optimize multiple performance metrics beyond wirelength. The framework is a collaborative one which receives as input multiple global routing solutions created by single-objective procedures.

VLSI Physical Design: From Graph Partitioning to Timing Closure

VLSI Physical Design: From Graph Partitioning to Timing Closure
Title VLSI Physical Design: From Graph Partitioning to Timing Closure PDF eBook
Author Andrew B. Kahng
Publisher Springer Nature
Pages 329
Release 2022-06-14
Genre Technology & Engineering
ISBN 3030964159

Download VLSI Physical Design: From Graph Partitioning to Timing Closure Book in PDF, Epub and Kindle

The complexity of modern chip design requires extensive use of specialized software throughout the process. To achieve the best results, a user of this software needs a high-level understanding of the underlying mathematical models and algorithms. In addition, a developer of such software must have a keen understanding of relevant computer science aspects, including algorithmic performance bottlenecks and how various algorithms operate and interact. This book introduces and compares the fundamental algorithms that are used during the IC physical design phase, wherein a geometric chip layout is produced starting from an abstract circuit design. This updated second edition includes recent advancements in the state-of-the-art of physical design, and builds upon foundational coverage of essential and fundamental techniques. Numerous examples and tasks with solutions increase the clarity of presentation and facilitate deeper understanding. A comprehensive set of slides is available on the Internet for each chapter, simplifying use of the book in instructional settings. “This improved, second edition of the book will continue to serve the EDA and design community well. It is a foundational text and reference for the next generation of professionals who will be called on to continue the advancement of our chip design tools and design the most advanced micro-electronics.” Dr. Leon Stok, Vice President, Electronic Design Automation, IBM Systems Group “This is the book I wish I had when I taught EDA in the past, and the one I’m using from now on.” Dr. Louis K. Scheffer, Howard Hughes Medical Institute “I would happily use this book when teaching Physical Design. I know of no other work that’s as comprehensive and up-to-date, with algorithmic focus and clear pseudocode for the key algorithms. The book is beautifully designed!” Prof. John P. Hayes, University of Michigan “The entire field of electronic design automation owes the authors a great debt for providing a single coherent source on physical design that is clear and tutorial in nature, while providing details on key state-of-the-art topics such as timing closure.” Prof. Kurt Keutzer, University of California, Berkeley “An excellent balance of the basics and more advanced concepts, presented by top experts in the field.” Prof. Sachin Sapatnekar, University of Minnesota

Handbook of Algorithms for Physical Design Automation

Handbook of Algorithms for Physical Design Automation
Title Handbook of Algorithms for Physical Design Automation PDF eBook
Author Charles J. Alpert
Publisher CRC Press
Pages 1044
Release 2008-11-12
Genre Computers
ISBN 1000654192

Download Handbook of Algorithms for Physical Design Automation Book in PDF, Epub and Kindle

The physical design flow of any project depends upon the size of the design, the technology, the number of designers, the clock frequency, and the time to do the design. As technology advances and design-styles change, physical design flows are constantly reinvented as traditional phases are removed and new ones are added to accommodate changes in