Characterization of Hydrogenated Boron Carbide and Boron Carbon Nitride Thin Films and Applications

Characterization of Hydrogenated Boron Carbide and Boron Carbon Nitride Thin Films and Applications
Title Characterization of Hydrogenated Boron Carbide and Boron Carbon Nitride Thin Films and Applications PDF eBook
Author Shraddha Dhanraj Nehate
Publisher
Pages 146
Release 2021
Genre
ISBN

Download Characterization of Hydrogenated Boron Carbide and Boron Carbon Nitride Thin Films and Applications Book in PDF, Epub and Kindle

This dissertation attempted to reduce the k value of B4C and BCN by introducing non-polar bonds in the materials through hydrogenation using the RF magnetron sputtering technique. Thin films were deposited by single or dual-target sputtering from B4C and BN targets by varying hydrogen to nitrogen reactive gas and substrate temperature. All the films demonstrated distinct composition at different growth parameters and displayed evidence of tunable properties with film composition. It was shown that tuning film composition achieves low-k values while ensuring no deterioration in the mechanical properties of thin films. Moreover, the influence of hydrogenation and variation in substrate temperature was investigated on B4C and BCN properties for applications in electrical, mechanical, and optical devices. Additionally, graphene analogous BCN nanocoating synthesized in this study exhibited outstanding inhibition against bacterial growth and biofilm formation, making them promising for biomedical devices.

Investigation of Reactively Sputtered Boron Carbon Nitride Thin Films

Investigation of Reactively Sputtered Boron Carbon Nitride Thin Films
Title Investigation of Reactively Sputtered Boron Carbon Nitride Thin Films PDF eBook
Author Vinit O. Todi
Publisher
Pages 130
Release 2011
Genre Boron nitride
ISBN

Download Investigation of Reactively Sputtered Boron Carbon Nitride Thin Films Book in PDF, Epub and Kindle

Research efforts have been focused in the development of hard and wear resistant coatings over the last few decades. These protective coatings find applications in the industry such as cutting tools, automobile and machine part etc. Various ceramic thin films like TiN, TiAlN, TiC, SiC and diamond-like carbon (DLC) are examples of the films used in above applications. However, increasing technological and industrial demands request thin films with more complicated and advanced properties. For this purpose, B-C-N ternary system which is based on carbon, boron and nitrogen which exhibit exceptional properties and attract much attention from mechanical, optical and electronic perspectives. Also, boron carbonitride (BCN) thin films contains interesting phases such as diamond, cubic BN (c-BN), hexagonal boron nitride (h-BN), B4C, [greek lower case letter beta]-C3N4. Attempts have been made to form a material with semiconducting properties between the semi metallic graphite and the insulating h-BN, or to combine the cubic phases of diamond and c-BN (BC2N heterodiamond) in order to merge the higher hardness of the diamond with the advantages of c-BN, in particular with its better chemical resistance to iron and oxygen at elevated temperatures. New microprocessor CMOS technologies require interlayer dielectric materials with lower dielectric constant than those used in current technologies to meet RC delay goals and to minimize cross-talk. Silicon oxide or fluorinated silicon oxide (SiOF) materials having dielectric constant in the range of 3.6 to 4 have been used for many technology nodes. In order to meet the aggressive RC delay goals, new technologies require dielectric materials with K[less than]3. BCN shows promise as a low dielectric constant material with good mechanical strength suitable to be used in newer CMOS technologies. For optical applications, the deposition of BCN coatings on polymers is a promising method for protecting the polymer surface against wear and scratching. BCN films have high optical transparency and thus can be used as mask substrates for X-ray lithography. Most of the efforts from different researchers were focused to deposit cubic boron nitride and boron carbide films. Several methods of preparing boron carbon nitride films have been reported, such as chemical vapor deposition (CVD), plasma assisted CVD, pulsed laser ablation and ion beam deposition. Very limited studies could be found focusing on the effect of nitrogen incorporation into boron carbide structure by sputtering. In this work, the deposition and characterization of amorphous thin films of boron carbon nitride (BCN) is reported. The BCN thin films were deposited by radio frequency (rf) magnetron sputtering system. The BCN films were deposited by sputtering from a high purity B4C target with the incorporation of nitrogen gas in the sputtering ambient. Films of different compositions were deposited by varying the ratios of argon and nitrogen gas in the sputtering ambient. Investigation of the oxidation kinetics of these materials was performed to study high temperature compatibility of the material. Surface characterization of the deposited films was performed using X-ray photoelectron spectroscopy and optical profilometry. Studies reveal that the chemical state of the films is highly sensitive to nitrogen flow ratios during sputtering. Surface analysis shows that smooth and uniform BCN films can be produced using this technique. Carbon and nitrogen content in the films seem to be sensitive to annealing temperatures. However depth profile studies reveal certain stoichiometric compositions to be stable after high temperature anneal up to 700°C. Electrical and optical characteristics are also investigated with interesting results. The optical band gap of the films ranged from 2.0 eV - 3.1 eV and increased with N2/Ar gas flow ratio except at the highest ratio. The optical band gap showed an increasing trend when annealed at higher temperatures. The effect of deposition temperature on the optical and chemical compositions of the BCN films was also studied. The band gap increased with the deposition temperature and the films deposited at 500°C had the highest band gap. Dielectric constant was calculated from the Capacitance-Voltage curves obtained for the MOS structures with BCN as the insulating material. Aluminum was used as the top electrode and the substrate was p-type Si. Effect of N2/Ar gas flow ratio and annealing on the values of dielectric constant was studied and the dielectric constant of 2.5 was obtained for the annealed BCN films. This by far is the lowest value of dielectric constant reported for BCN film deposited by sputtering. Lastly, the future research work on the BCN films that will be carried out as a part of the dissertation is proposed.

Phase Evolution of Boron Nitride and Carbide during Chemical Vapor Deposition

Phase Evolution of Boron Nitride and Carbide during Chemical Vapor Deposition
Title Phase Evolution of Boron Nitride and Carbide during Chemical Vapor Deposition PDF eBook
Author Sachin Sharma
Publisher Linköping University Electronic Press
Pages 81
Release 2024-05-02
Genre
ISBN 9180755224

Download Phase Evolution of Boron Nitride and Carbide during Chemical Vapor Deposition Book in PDF, Epub and Kindle

Thin films of Boron Nitride (BN) and Boron Carbide (BC) possess properties that make them attractive for various applications. Epitaxially grown BN exhibits potential for optoelectronic devices, as piezoelectric materials, and graphene technology. Epitaxial BC is a semiconductor that could allow bandgap tuning and has potential applications in thermoelectric and optoelectronic devices. Both BN and BC material systems, generally deposited using chemical vapour deposition (CVD), are limited by the lack of control in depositing epitaxial films. In my thesis work, I have studied the evolution of various crystal phases of BN and BC and the factors that affect them during their CVD processes. I deposited and compared the growth of BN on Al2O3 (0001), (11 2 over bar 0), (1 1 over bar 02) and (10 1 over bar 0) substrates and used two organoboranes as boron precursors. Only Al2O3(11 2 over bar 0) and Al2O3 (0001) rendered crystalline films while the BN growth on the remaining substrates was X-ray amorphous. Furthermore, the less investigated Al2O3(11 2 over bar 0) had better crystalline quality versus the commonly used Al2O3 (0001). To further understand this, I studied crystalline BN thin films on an atomic scale and with a time evolution approach, uncovering the influence of carbon on hexagonal BN (h-BN). I showed that h-BN nucleates on both substrates but then either polytype transforms to rhombohedral-BN (r-BN) in stages, turns to less ordered turbostratic-BN or is terminated. An increase in local carbon content is the cause of these changes in epitaxial BN films during CVD. From the time evolution, we studied the effect of Al2O3 modification on h-BN nucleation during CVD. The interaction between boron and carbon during BN growth motivated studies also on the BxC materials. BxC was deposited using CVD at different temperatures on 4H-SiC(0001) (Si-face) and 4H-SiC(000 1 over bar) (C-face) substrates. Epitaxial rhombohedral-B4C (r-B4C) grew at 1300 °C on the C-face while the films deposited on the Si-face were polycrystalline. Comparing the initial nucleation layers on both 4H-SiC substrates on an atomic scale we showed that no interface phenomena are affecting epitaxial r-B4C growth conditions. We suggest that the difference in surface energy on the two substrate surfaces is the most plausible reason for the differences in epitaxial r-B4C growth conditions. In this thesis work, I identify the challenges and propose alternative routes to synthesise epitaxial BN and B4C materials using CVD. This fundamental materials science work enhances the understanding of growing these material systems epitaxially and in doing so furthers their development.

Chemical vapour deposition of boron-carbon thin films from organoboron precursors

Chemical vapour deposition of boron-carbon thin films from organoboron precursors
Title Chemical vapour deposition of boron-carbon thin films from organoboron precursors PDF eBook
Author Maiwulidan (Mewlude) Yimamu (Imam)
Publisher Linköping University Electronic Press
Pages 29
Release 2016-01-13
Genre
ISBN 9176858588

Download Chemical vapour deposition of boron-carbon thin films from organoboron precursors Book in PDF, Epub and Kindle

Boron-carbon (BxC) thin films enriched in 10B are potential neutron converting layers for 10Bbased solid-state neutron detectors given the good neutron absorption cross-section of 10B atoms in the thin film. Chemical Vapour Deposition (CVD) of such films faces the challenge that the maximum temperature tolerated by the aluminium substrate is 660 °C and low temperature CVD routes for BxC films are thus needed. This thesis presents the use of two different organoboron precursors, triethylboron –B(C2H5)3 (TEB) and trimethylboron – B(CH3)3 (TMB) as single-source precursors for CVD of BxC thin films. The CVD behaviour of TEB in thermal CVD has been studied by both BxC thin film deposition and quantum chemical calculations of the gas phase chemistry at the corresponding CVD conditions. The calculations predict that the gas phase reactions are dominated by ?-hydride eliminations of C2H4 to yield BH3. In addition, a complementary bimolecular reaction path based on H2-assisted C2H6 elimination to BH3 is also present at lower temperatures in the presence of hydrogen molecules. A temperature window of 600 – 1000 °C for deposition of X-ray amorphous BxC films with 2.5 ? x ? 4.5 is identified showing good film density (2.40 – 2.65 g/cm3) which is close to the bulk density of crystalline B4C, 2.52 g/cm3 and high hardness (29 – 39 GPa). The impurity level of H is lowered to < 1 at. % within the temperature window. Plasma chemical vapour deposition has been studied using TMB as single-source precursor in Ar plasma for investigating BxC thin film deposition at lower temperature than allowed by thermal CVD and further understanding of thin film deposition process. The effect of plasma power, total pressure, TMB and Ar gas flow on film composition and morphology are investigated. The highest B/C ratio of 1.9 is obtained at highest plasma power of 2400 W and TMB flow of 7 sccm. The H content in the films seems constant at 15±5 at. %. The B-C bond is dominant in the films with small amount of C-C and B-O bonds, which are likely due to the formation of amorphous carbon and surface oxidation, respectively. The film density is determined as 2.16±0.01 g/cm3 and the internal compressive stresses are measured to be <400 MPa.

Deposition and Characterization of Single and Multilayered Boron Carbide and Boron Carbonitride Thin Films Different Sputtering Configurations

Deposition and Characterization of Single and Multilayered Boron Carbide and Boron Carbonitride Thin Films Different Sputtering Configurations
Title Deposition and Characterization of Single and Multilayered Boron Carbide and Boron Carbonitride Thin Films Different Sputtering Configurations PDF eBook
Author Tolga Tavşanoğlu
Publisher
Pages 203
Release 2009
Genre
ISBN

Download Deposition and Characterization of Single and Multilayered Boron Carbide and Boron Carbonitride Thin Films Different Sputtering Configurations Book in PDF, Epub and Kindle

In this study single and multilayered boron carbide and boron carbonitride (BCN) thin films deposited with several sputtering configurations were investigated. Three types of well adherent and homogenous boron carbide films were deposited by conventional direct current (DC) magnetron sputtering, plasma-enhanced DC magnetron sputtering and radio frequency (RF) sputtering. Boron carbonitride thin films deposited by reactive DC magnetron sputtering in addition of nitrogen into processing gas were also studied. Functionally graded multilayered designs were used to growth thicker boron carbide and boron carbonitride films. An own produced, direct current compatible, conducting boron carbide target and a commercial boron carbide target was used for deposition of thin films. All boron carbide thin films deposited were amorphous. Boron carbide films deposited by conventional DC magnetron sputtering had columnar microstructures with about 20 GPa hardness and 220 GPa elastic modulus. Boron carbide films deposited by plasma-enhanced DC magnetron sputtering had featureless, non-columnar microstructures with smooth surface morphologies. Hardness values of about 40 GPa, elastic modulus of 300 GPa and wear rates of 2.6x10-8 mm3/Nm were reached for these films. Boron carbide films deposited by RF sputtering had 22 GPa hardness and 240 GPa elastic modulus. For boron carbonitride films deposited, hardness values between 10-20 GPa, elastic modulus between 135-180 GPa and wear rates of 1.5x10-9 mm3/Nm were obtained. Well adherent boron carbide and BCN top layers with thicknesses over 1 were successfully grown by means of functionally graded multilayer designs.

The Physics and Chemistry of Carbides, Nitrides and Borides

The Physics and Chemistry of Carbides, Nitrides and Borides
Title The Physics and Chemistry of Carbides, Nitrides and Borides PDF eBook
Author R. Freer
Publisher Springer Science & Business Media
Pages 716
Release 2012-12-06
Genre Technology & Engineering
ISBN 9400921012

Download The Physics and Chemistry of Carbides, Nitrides and Borides Book in PDF, Epub and Kindle

Carbides, nitrides and borides are families of related refractory materials. Traditionally they have been employed in applications associated with engineering ceramics where either high temperature strength or stability is of primary importance. In recent years there has been a growing awareness of the interesting electrical, thermal and optical properties exhibited by these materials, and the fact that many can be prepared as monolithic ceramics, single crystals and thin films. In practical terms carbides, nitrides and borides offer the prospect of a new generation of semiconductor materials, for example, which can function at very high temperatures in severe environmental conditions. However, as yet, we have only a limited understanding of the detailed physics and chemistry of the materials and how the preparation techniques influence the properties. Under the auspices of the NATO Science Committee an Advanced Research Workshop (ARW) was held on the Physics and Chemistry of Carbides, Nitrides and Borides (University of Manchester, 18-22 September, 1989) in order to assess progress to date and identify the most promising themes and materials for future research. An international group of 38 scientists considered developments in 5 main areas: The preparation of powders, monolithic ceramics, single crystals and thin films; Phase transformations, microstructure, defect structure and mass transport; Materials stability; Theoretical studies; Electrical, thermal and optical properties of bulk materials and thin films.

Synthesis and Properties of Boron Nitride

Synthesis and Properties of Boron Nitride
Title Synthesis and Properties of Boron Nitride PDF eBook
Author J.J. Pouch
Publisher Trans Tech Publications Ltd
Pages 426
Release 1991-01-01
Genre Technology & Engineering
ISBN 3035704511

Download Synthesis and Properties of Boron Nitride Book in PDF, Epub and Kindle

Boron nitride thin films can be deposited on different substrates using techniques such as plasma deposition, ion beam deposition and reactive sputter deposition.